Tesis Validadas: 2,591

Tesis de Posgrado: 2650

Número de Visitas: contador visitas

Veuillez utiliser cette adresse pour citer ce document : https://rinacional.tecnm.mx/jspui/handle/TecNM/5495
Titre: Estudio de los métodos de optimización en el convertidor multinivel en cascada monofásico
Auteur(s): Marin Reyes, Manuel%772129
Date de publication: 2019-01-29
Editeur: Tecnológico Nacional de México
metadata.dc.publisher.tecnm: Centro Nacional de Investigación y Desarrollo Tecnológico
Description: Los inversores multinivel tienen múltiples aplicaciones industriales, así como su uso en aplicaciones de energía renovables. Los inversores multinivel en cascada con las fuentes de alimentación equilibradas son los más utilizados actualmente en la investigación. Este trabajo presenta la aplicación de los métodos de Algoritmo Genético (GA, por sus siglas en inglés), Optimización por Enjambre de Partículas (PSO, por sus siglas en inglés) y Newton Raphson (NR, por sus siglas en inglés), para resolver el sistema de ecuaciones no lineales trascendentales y obtener los ángulos de conmutación óptimos para disminuir el valor de distorsión armónica total (THD). Con el objetivo de obtener un valor de THD más bajo, se puede conseguir una forma de onda de tensión de salida de mejor calidad en un inversor monofásico, multinivel y en cascada. El análisis comparativo de los resultados obtenidos en este trabajo, muestra que los algoritmos (Genético y enjambre de partículas) tienen un mejor desempeño para optimizar los armónicos en inversores multinivel en comparación con el método Newton Raphson. Por otra parte, se comparó el algoritmo genético con el de enjambre de partículas, y se encontró que producen resultados muy similares. En este documento se exponen técnicas de modulación que se encuentran en la categoría de baja frecuencia, esto con el fin de poder obtener una forma de onda de tensión a la salida escalonada. En esta categoría se encuentra la llamada forma de onda armónica escalonada optimizada (OHSW, por sus siglas en inglés) en la que se puede dividir: Eliminación selectiva de armónicos (SHE, por sus siglas en inglés) y minimización optima de la distorsión armónica total (OMTHD, por sus siglas en inglés). Además, de agregar un método analítico (solo se hizo para el caso de MLI de 3 niveles debido a que puede converger en una solución satisfactoria a causa de que es una sola variable a calcular). La simulación fue llevada a cabo en la plataforma de Psim. Para la obtención de este trabajo se elaboró la construcción de una plataforma experimental para un convertidor multinivel en cascada (CMLI, por sus siglas en inglés) de 3, 5, 7 y 9 niveles.
metadata.dc.type: info:eu-repo/semantics/masterThesis
Collection(s) :Tesis de Maestría en Ingeniería Electrónica

Fichier(s) constituant ce document :
Fichier Description TailleFormat 
ME_Manuel_Marin_Reyes_2019.pdfTesis3.61 MBAdobe PDFVoir/Ouvrir
ME_Manuel_Marin_Reyes_2019_C.pdf
  Accès limité
Cesión de Derechos107.62 kBAdobe PDFVoir/Ouvrir    Demander une copie


Ce document est protégé par copyright



Ce document est autorisé sous une licence de type Licence Creative Commons Creative Commons